Chip Packaging Trumps EDA: Why Synopsys Is Paying $35 Billion For Ansys

Here is how you know that the way chiplets are linked together to create what might have otherwise been a monolithic device is now more important than the way that the chiplets themselves are designed. Synopsys, the world’s largest provider of electronic design automation software, just agreed to pay $35 billion to buy Ansys, the world’s largest supplier of HPC simulation software for modeling objects in the real world.

The deal, which has been rumored for a few weeks, is the second big acquisition in the IT sector in as many weeks, following fast on the heels of the $14 billion acquisition of Juniper Networks by Hewlett Packard Enterprise. And the reasons behind the Ansys deal are similar in that a big IT supplier (in this case, one that does fundamental software rather than infrastructure hardware) sees a profitable adjacency that it wants to use to expand its depth and breadth in the IT sector.

This deal was absolutely predictable – although we did not ourselves predict it – for anyone who saw the opening keynote at the IEEE’s International Solid-State Circuits Conference in 2022, which was given by Aart de Geus, co-founder, chief executive officer, and chairman of Synopsys. We did a detailed analysis of the presentation by de Geus, which was a tour de force in semiconductor history and prognostication as we enter what de Geus called the SysMoore Era – the confluence of Moore’s Law ambitions in transistor design and now packaging coupled to systemic complexity that together will bring about a 1,000X increase in compute across devices and systems of all kinds and lead to a “smart everything” world.

Here is what SysMoore is all about, expressed simply in one chart:

What SysMoore means is an expansion of function akin to what we are used to getting just from process shrinks and transistor designs that comes from form – chiplet packaging – as much as it comes from process. De Geus is a perpetual optimist, and has been since helping start Synopsys 37 years ago as one of the pioneers in chip design just as computing power was becoming capacious and cheap enough to create simulations of physical objects, ranging in size from cars, airplanes, and buildings down to collections of thousands of transistors that comprised chips four decades ago.

These days, form doesn’t follow function so much as enable a continuing increase in function here at the end of traditional Moore’s Law scaling.

Chip design is in many ways much more difficult than other larger physical systems, and thus Ansys is actually the older of the two companies involved in today’s deal.

Like many software companies, Ansys started out at the kitchen table, in this case a farmhouse on the outskirts of Pittsburgh where company founder John Swanson, who started Swanson Analytical Systems in 1969 and who used IBM mainframes driven by punch cards to create the first finite element analysis simulation programs in the world. Swanson got the idea for simulating FET (which was done by hand at the time) while working at Westinghouse Astronuclear Laboaratory, which became the first customer for Ansys. Swanson sold the company to a private equity firm in 1994 and it went public two years later. Ansys has grown organically as well as through more than three dozen acquisitions, notably Fluent in 2006 for fluid dynamics simulation for $299 million, Ansoft in 2008 for electronics design for $824 million, and Zemax for design of imaging and illumination systems in 2021 for $411 million.

While Ansys was born in the mainframe era and had to “make do” with the technology of the time, Synopsys was born at the peak of the proprietary technical computing platforms embodied by DEC VAXen and at the beginning of the RISC/Unix era that lead to step function in price/performance for simulation and modeling workloads. Synopsys was a fast follower behind the forebears of Cadence Design Systems, which were founded a few years earlier and came together in a merger in 1988, two years after the emergence of Synopsys.

The core of the initial Synopsys product line came from the Advanced Computer Aided Engineering Group at General Electric, which was located in Research Triangle Park region of North Carolina, and David Gregory and Bill Krieger joined Aart de Geus in 1986 to develop and market the logic synthesis simulators based on that work at GE.

Ansys makes the 16th acquisition done by Synopsys since its founding. Cadence has done more than three dozen of its own acquisitions to stay neck-and-neck with Synopsys, which moved to Silicon Valley in 1987 and went public in 1992. It will be interesting to see what Cadence, which has printed circuit design and multiphysics simulation capabilities wrapped around its EDA stack, will do in response to the Ansys acquisition by Synopsys.

No matter what, it is clear that the ability to simulate a complete system, not just a chip, is the wave of the future. This is the only way to wring every possible efficiency out of a design. And with AI helping with that simulation, we strongly suspect that the time to design completion and the start of manufacturing can be brought down for all kinds of systems.

It is not clear how many customers use both Synopsys and Ansys tools, but in the electronics and information technology sector, the overlap is very likely pretty high. Semiconductor and high-tech electronics and IT vendors represented around 31 percent of the approximately $2 billion in revenues for Ansys in its fiscal 2023, for which the company has still not reported its results for the December 2023 quarter.

Ansys, mainly through its Ansys Mechanical (including its LS-DYNA crash simulator), Ansys CFD (based on the acquired Fluent), and Ansys Electromagnetics suites, is the juggernaut in physical simulation and analysis, and Synposis, with around $6 billion in annual revenues, is the largest supplier of design automation software (slightly ahead of Cadence) and is the number two supplier of design intellectual property (slightly behind Cadence).

What is very hard to see on the pie chart above is that the Software Integrity Group business, which contributed about 9 percent of revenues at Synopsys, has been under strategic review since the end of November last year. Given that Synopsys is paying such a big ticket to get Ansys (a lot of cash and a little stock), it would not be surprising to see SIG be sold off to private equity or some other party after the Ansys deal is done. We shall see.

Here is a snapshot of where Ansys is at:

The way Synopsys and Ansys see it, the total addressable market for simulation and analysis for 2023 was around $10 billion, and it will grow at around a 10 percent compound annual growth rate between 2023 and 2028. The chip design IP business had a TAM of around $7 billion last year and a CAGR over the next five years of around 12 percent, and the EDA software business had a TAM of around $11 billion and a CAGR for that same period also around 12 percent. All of these businesses are growing at a rate faster than global GDP, which is about all you can expect in such mature markets as Ansys and Synopsys play in.

Here are how the TAMs, customers, and revenue and operating margins stack up according to the two companies:

We don’t know the customer counts for either Synposys or Ansys, so we don’t know how much overlap there is even with this table. But we think it is safe to assume that Synopsys wants to use the combination of EDA and physical simulation of systems using the chips designed by the EDA software to take a run at Cadence and steal some customers. The competitive pressure might actually have the effect of lowering the costs of EDA and physical simulation. . . .

OK, we just said that to see what it sounds like. It seems very unlikely that the cost of any of this software is going to come down. And to get a good laugh out of you. Hope springs eternal. (Stop laughing now, and continue.)

What we do know from what the top brass at Synposys and Ansys say is that the “vast majority” of users of the Synopsys Fusion Compiler for logic design and synthesis also use Ansys tools for simulation and analysis and that the joint use of tools from Synopsys and Ansys has been certified at the “leading foundries” – whatever that means. (There are not that many leading foundries left.) Ansys and Synopsys have been partners in the combined use of their EDA and simulation tools since they partnered on the Fusion Compiler in 2017.

If the deal closed today, the resulting company will have around $8 billion in revenues, and the companies think they will be about to remove around $400 million in costs over three years and boost revenues by cross-selling between the two families of products by around $400 million over four years. Over the long haul – the term of which was not defined – Synopsys says it can deliver $1 billion in incremental revenues through the combination of the two companies. So maybe this is really about cross-selling and not about trying to steal business away from Cadence.

One thing is for sure: Cadence can’t afford to create its own simulation and analysis software from scratch to compete with the Ansys stack, and it already partners with Ansys and Synopsys can’t afford to alienate those customers as it tries to push its combined tools harder in to the automotive, aerospace, and industrial equipment industries.

The companies expect for the deal to close sometime in the first half of 2025. The company plans to use $3 billion of cash on hand and $16 billion in debt financing, with the remaining $16 billion coming through the exchange of 0.345 shares of Synopsys stock for every Ansys share.

The bottom line is that there was no way that Synopsys was going to make its own finite element analysis, computational fluid dynamics, or other physical and mechanical simulation software. It would take too long. Debate amongst yourselves if the 29 percent premium that Synopsys will pay to acquire Ansys (based on a December 21 closing price before the rumors started swirling around about the acquisition) is worth it.

At the very least, the customers outside of the high-tech chip business are going to help subsidize a broader and deeper set of capabilities for chip and system makers. There is no question that companies that use both Synopsys and Ansys tools together as a suite will get a sweeter deal than those who use Ansys tools by themselves.

Sign up to our Newsletter

Featuring highlights, analysis, and stories from the week directly from us to your inbox with nothing in between.
Subscribe now

8 Comments

  1. Hi Timothy,
    Go visit DeepChip.com for a take on the EDA customer base and their take on acquisitions by the Big 3 EDA firms. Or talk to its founder, John Cooley. Lotsa history there.

  2. “Synopsys” was mentioned as “Synopsis” in many places in the article. Please check and correct it. Otherwise, great insights. Thanks.

    • I don’t know why, but it is very hard for me to type “Synopsys”

      I had a similar problem with Agilysys and another company that I think was named Agilisys.

Leave a Reply

Your email address will not be published.


*


This site uses Akismet to reduce spam. Learn how your comment data is processed.