Intel’s Component Keys for Pushing Moore’s Law

Stretching the trajectory of Moore’s Law takes quite a bit more than finding new ways to fit more transistors on future devices. There is a balancing act for all aspects of a system, from interconnects and memory, and beyond. Further, there is little reinventing of wheels – the next wave of innovation means developing novel technologies across the board, which is why Intel’s Components Research division has been at the top of our watchlist.

This week at the IEEE International Electron Devices Meeting (IEDM) Intel laid out a more technical vision on how to stretch Moore’s Law a bit more – at least to 2025 and beyond – via novel transistor, packaging, and physics technologies. The developments are scattered in various areas, from transistor density tricks to quantum computing on silicon devices and while these might not be lasting in the long-term sense, they do show CMOS might leave the party a bit later than we could have guessed five years ago.

The goal of these disparate pieces, according to Robert Chau, Senior Fellow and GM of Intel’s Components Research division, is to get beyond 2025 by building on interconnect densities by 10X via a unique packaging approach based on hybrid bonding and between 30 percent and 50 percent improvements in overall die areas. Chau also outlined new memory and power-focused concepts, although these are still on the horizon.

IEDM Infographic

The Components Research arm of Intel is focused on scaling technologies for higher transistor counts, new silicon development for memory and power efficiencies, and emerging physics-driven innovations that are aimed at pushing the inevitable end of Moore’s Law farther. The results of these efforts are seen in some key announcements from Intel around things like Foveros Direct (hence the 10X bump in interconnect density in 3D stacked devices), RibbonFET (an effort to hit that 30–50 percent increase in logic scaling by cramming more transistors) and in new materials that get around traditional silicon (more in line with quantum technologies).

Among key announcements at IEDM, Intel says there is still plenty of life left in silicon devices on both performance and power fronts for memory, compute, and network devices. For instance, the components group showed that GaN-based power switches with CMOS devices can be integrated on a 300mm wafer. “This sets the stage for low-loss, high-speed power delivery to CPUs while simultaneously reducing motherboard components and space,” Chau says.

Intel also described progress using new materials for potential next-gen DRAM based on ferroelectric substrates. The company has discussed this before, pointing to possible improvements in low-latency read/writes and more high performance, efficient memory access and use across the board.

The more far-off subject is on silicon transistor-based quantum computing. In other words, room temperature devices that might shed light on Intel’s chipmaking future, although these are still early days. On that front:

  • At IEDM 2021, Intel demonstrated the world’s first experimental realization of a magnetoelectric spin-orbit (MESO) logic device at room temperature, which showed the potential manufacturability for a new type of transistor based on switching nanoscale magnets.
  • Intel and IMEC are making progress with spintronic materials research to take device integration research close to realizing a fully functional spin-torque device.
  • Intel also showcased full 300mm qubit process flows for the realization of scalable quantum computing that is compatible with CMOS manufacturing and identifies next steps for future research.

“At Intel, the research and innovation necessary for advancing Moore’s Law never stops. Our Components Research Group is sharing key research breakthroughs at IEDM 2021 in bringing revolutionary process and packaging technologies to meet the insatiable demand for powerful computing that our industry and society depend on. This is the result of our best scientists’ and engineers’ tireless work. They continue to be at the forefront of innovations for continuing Moore’s Law,” Chau says.

Sign up to our Newsletter

Featuring highlights, analysis, and stories from the week directly from us to your inbox with nothing in between.
Subscribe now

1 Comment

Leave a Reply

Your email address will not be published.


*


This site uses Akismet to reduce spam. Learn how your comment data is processed.