The Chip Has Hit The Fan

If you are a designer of chips  based on the most advanced processes available from Taiwan Semiconductor Manufacturing Company, and your roadmap is based on the company’s continuing progress and prowess in pushing Moore’s Law to the limit, then not only is the future in your roadmaps being pushed out, but you are going to have to pay more for whatever chips you are making now and, we suspect, the chips you are depending on for your business in the future.

That’s the news out of the world’s most important chip foundry, which the whole world knows is TSMC. Every important datacenter chip in the world is currently using the seven-nanometer or five-nanometer processes. The lone exceptions are the future “Cirrus” Power10 CPUs from IBM (coming shortly in high-end servers using 7 nanometer processes out of Samsung’s fab) and the “Sapphire Rapids” Xeon SP CPUs from Intel, which are being etched using a refined 10 nanometer SuperFIN process from its own foundries.

The delay in the future 3 nanometer process out of TSMC was revealed on a call with Wall Street going over the foundry’s second quarter of 2021 financial results. We didn’t catch it until now because we have not been paying attention to the ups and downs of the money flows at TSMC but, given its importance in the datacenter segment, we had been wanting to do so. And now that the Wall Street Journal is reporting that TSMC is getting ready to hike prices for customers, we figure it’s a good time to start paying closer attention. The future CPUs from AMD, Ampere Computing, and possibly Intel, the future GPUs from Nvidia, AMD, and Intel, and the future FPGAs from Xilinx and possibly Intel, and every datacenter switch ASIC we know of, are all dependent on the future process roadmaps, manufacturing ramp, and yield curves at TSMC.

Well, to be honest, it has been this way for years. We just got used to it until the coronavirus pandemic exposed some of the inadequacies of the global supply chain for chips and the machinery, the wafers, and the chemicals that go into their manufacture.

First, let’s consider the 3nm delay. TSMC trimmed back its 20-nanometer node and had some issues getting its 16-nanometer FinFET process out the door in 2013 and its refined 14-nanometer/12-nanometer variants, which came out less than two years later. TSMC has been pretty steady with 7nm, which ramped in the second quarter of 2018, and with 5nm, which ramped in the second quarter of 2020. Given this, one would expect the next bump at 3nm in the second quarter of 2022. But it has been pushed out into the second half of 2022 — a delay of about three to four months … so far.

“Yes, 3 nanometer technology actually is very complicated, in both processing technology and also the customers’ product design,” explained CC Wei, TSMC’s chief executive officer, during the call with Wall  Street (transcript available here). “So we worked with customers, and finally we decided to ramp up in the second half of next year. And this is — we decided with our customers the best fit to their need.”

The best fit to their need was, it seems, to take the 3nm delay rather than try to reimplement their chips to use a refined 5nm process, which was the only other option. And it is not like other fab can fill in — Samsung or Intel are the only options, really, since GlobalFoundries has no intention of developing 7 nanometer technology three years after it spiked that effort. Samsung is making its very first server part in 7 nanometer processes for IBM’s Power10 (and that effort is having trouble yielding, pushing out entry Power10 machines by a year), while Intel is still refining 7 nanometer (which it calls Intel 4 now and which will be used to make its “Granite Rapids” chips possibly), and is working on five-nanometer-ish processes with its Intel 3 process, due in 2H 2023, and 3 nanometer-ish processes with its 20A process, due in 2024. (You can see the new Intel decoder ring about process technologies here.) Intel thinks it can leapfrog TSMC.

We remain skeptical until proven otherwise, but we have said repeatedly that chip manufacturing is getting exponentially more difficult (it is perhaps only a little bit worse than linear) and more costly (ditto there) and that one mistake could set any one of the foundries with advanced processes back considerably. Definitely not like the three or so year delay that Intel had with ten-nanometer processes and probably not even as bad as the one-year or so delay that Intel is having with seven-nanometer processes. TSMC has not forgotten who it is, like Intel did. All it does is make chips, and TSMC never forgets that for a second.

But the cost of making chips is going up, and the word on the street this week is that TSMC will be raising prices on its most advanced chips — those using 7nm and 5nm processes — by around ten per cent, and those using older processes — 55nm, 40nm, 28nm, and maybe 16nm — will see a 20 per cent price hike. Some of that price hike is just the laws of supply (there ain’t enough) and demand (there is way too much) playing out — but there is also talk that TSMC has let its vintage fabs flounder a bit and has left itself exposed to incursions by Intel Foundry Services and GlobalFoundries, the latter of which has dedicated itself solely to serving the needs of chip designers who are perfectly happy to use vintage processes. The thinking is that TSMC has to address this market while at the same time boosting investments in advanced processes.

Personally, we think this is just an opportunistic price hike and we do expect it to hit later this year or early next. And we do think it is being driven at least in part by higher costs. Eventually, perhaps in 2024 or 2025, if all goes well, Intel will be competing directly in the foundry business with TSMC as well as making its own chips and chiplets, and TSMC is making hay while the sun is shining very brightly indeed. The grass is dry before it hits the ground, in fact. You can make hay in any better environment than TSMC is in. And it is not like chip designers have any choice at the moment for advanced processes.

TSMC’s rise is nothing short of amazing, as the chart above shows. While Intel dropped off its process roadmap in 2014 or so and did not have GPUs or FPGAs or custom ASICs, TSMC became the foundry of choice for all of the devices that have been taking away CPU workloads, like piranhas attacking a cow that has wandered into a stream in Brazil. The company has built up enormous cash reserves — two thirds of a year’s worth of revenues — and has been able to bring about 35 per cent of revenues down to net income from Q1 2005 through Q2 2021 as shown in the chart above. This business has scaled like crazy, and the network and router ASIC vendors have done their part to keep the TSMC foundries busy on advanced processes.

While the investments in foundries are large, TSMC has been able to invest at a rate that is commensurate with its revenues. Considering it takes about two years to get foundry capacity online, current investments are an indication of what TSMC thinks future revenues will be. And the ratio is about five to one historically. So if you take the capital investments that TSMC made two years ago, it should help drive about 5x that amount in revenues. We say help because the older fabs still do plenty of work, and not all chips need the most advanced processes. In fact, some signaling and I/O chips do worse when you shrink them, not better.

The question we have — and that not even TSMC can answer as yet — is will 3nm processes have even more delays. Datacenter compute device roadmaps are expecting 3nm shrinks, but a refined 5nm process could work if it has to — just like Intel stretched 14 nanometers. Intel is not yet tried and trusted as a foundry partner, so even if it does get 3nm manufacturing out the door we think potential fab customers will be skittish. And then there is Samsung, which may get more aggressive if its 7nm efforts pan out with the Power10 chip. Samsung wants to make complex — and profitable — devices, too.

We expect all kinds of bumps in the roadmaps, because of the increasingly 3D nature of chip etching, packaging complexities, supply chain issues for wafers and substrates, and increasing demand chasing still too little supply.

For now, TSMC is healthy but profits are under pressure, with sales of $13.29 billion in the second quarter, up 28 per cent, and net income of $4.81 billion, up only 18.8 per cent. But with $31.62 billion in cash in the bank, the company has lots of maneuvering room — so long as it can keep increasing its investments in foundry capacity and manufacturing and packaging techniques, of course. In its past, TSMC could cut back on capital expenses, and sometimes the cutbacks were over several years when it could install gear and milk it for years. Those days are gone, and it must continually invest in expensive gear while at the same time not miss a beat on advancing chip etching technology.

There are easier businesses to be in, to be sure, but it is much harder to be Intel, GlobalFoundries, or Samsung when it comes to chip making.

One last thing: Any natural disaster could change the nature of the chip market in a matter of seconds if something happens on the island of Taiwan. For that matter, political upheaval could be even more dangerous. As we have pointed out before, the success of Intel Foundry Services and GlobalFoundries in the United States and Europe as they expand their operations could make it more likely that China tries to take possession of Taiwan. Right now, given how dependent the United States and Europe are on TSMC’s chips and how important semiconductors are to just about everything, that would be considered an act of war. Maybe an act of World War III.

Crazy world, isn’t it?

Sign up to our Newsletter

Featuring highlights, analysis, and stories from the week directly from us to your inbox with nothing in between.
Subscribe now

5 Comments

  1. At Seeking Alpha this last week our group had a dialogue on Rocks Axoim that costs double every Intel product generation and go up between 3x and 4x every process cycle. Consider some base data in this example that is Intel Capital Expenditure and so has TSMC;

    Intel CapEx;

    2015 = $7.3 b
    2016 = $9.6 b
    2017 = $11.8
    2018 = $15.2
    2019 = $16.2
    2020 = $17.0
    2021 = $25 ish

    Shows $76.3 billion on the books 2015 through 2020 that’s around one year of Intel revenue. On a depreciated basis every year credited to plant and equipment use and/or maintenance (assuming physical site ‘construction’ is sufficient size depreciated at 30 years under Rocks Axoim subsequently requires debiting Property, Construction (Plant and Equipment) x2 every year sustaining a production worthy operation under Rock the accrual ratio is not one to one.

    Subsequently Intel in the next I will use 6 years here instead of 5 of 7 years needs to earn minimally $152 billion before R&D, operating cost and profit. TSMC is in the same situation.

    The realization is that Intel and TSMC need to double revenue and the question is over what period of time on the ability to stretch the capabilities of lithography on the existing investment in plant and equipment. Certainly within 5 to 6 years Intel and TSMC must double revenue then the question becomes is that objective sooner?

    Here’s the a’ha on this conundrum of economics immensely simplified for Intel and TSMC. Imagine an equally distributed ‘standard’ cost curve over the prior 30 years of accelerated lithography advancement. Ignore for the moment High K and strained silicon resets in that cost curve. Think ‘as standard’ and continuous and the the bottom of the downward slopping cost curve is reached at 7 nm and now industry; Intel and TSMC and others, are on an upward slopping cost curve into process saturation and through a jump gate into whatever comes next. Essentially the prior investment in what was is now the total cost of the remaining investment in what can be.

    Time compresses as the physics or process saturates at infinity.

    Mike Bruzzone, Camp Marketing

  2. It’s best not to use the old Intel names as if they were a more valid comparison with TSMC’s names than the new names. The names are fiction, they’ve been for a while. TSMC and Samsung have been pushing their fictional names downward at a greater rate than Intel. Intel has now realigned themselves closer to TSMC and Samsung with their name changes. Intel’s old 7 nm, which is now 4, is a TSMC 5-ish node. Intel 3 is a refinement of Intel 4. TSMC 3 isn’t such a big jump from TSMC 5, but it’s a bigger jump than Intel’s 4 to 3 (in terms of changes to the node, not just changes to the number). TSMC also needed the “4” for a process node they have planned that’s in between. How these things compare with each other now, i.e., how Intel 3 compares with TSMC 5 or TSMC 3 is unknown at this point. Apparently Intel is claiming some sort of superiority by naming their node “4” instead of “5”. Or they just want their 3 to line up with TSMC’s 3 but they don’t want to claim some big jump from the previous node to 3. It’s still a confusing mess, as it’s bound to be because the characteristics of a process are difficult to distill down to a single number, but it’s a bit better than it was before. The new Intel names are more in line with the TSMC names, not less in line. It’s better to just forget about the old names when making cross-manufacturer comparisons.

  3. Endless crazy assumptions that will ultimately fail. Prior to Trump, the semiconductor world operated best as a division of labor. But when Trump applied sanctions of US technology and US manufacturing, waves of paranoia swept the earth. Samsung while not the focus of the US sanctions, nevertheless reasoned that the machinery used by Trump was a risk to all chipmakers globally. While the concentration of foundry activity is and was TSMC, the US has presented the idea that TSMC is holding the world hostage. And as the author clearly pointed out, what if a natural disaster such as a common Taiwan earthquake were to take out TSMC. That would send the global chip business in chaos.

    The natural instinct of all parties involved has been to move toward fab independence from TSMC. The idea of sanctions on US technology, basically eliminates US technology over time. The US is the only country ever to deploy such a strategy in an attempt to protect the US semiconductor business. Companies like Qualcomm oppose this ludicrous US policy and so does the Dutch firm ASML. What is going to happen is that China will develop self-sufficiency and revoke US products and they won’t need ASML technology.

    ASML technology is the lynchpin of the fab world. Their EUV systems are necessary to make the narrow gated fab, along with a host of other substrate washes and other products made in various countries which have stupidly decided to join the US sanctions. IT WON’T WORK!! It never has worked. What will happen is that US products and sanctioned products will die on the shelf eventually. The only gain will be short term.

    While narrow gate is the hot topic, 14 to 28 nm is the money chip.

    Let’s remember that Intel missed the handheld market entirely for the simple reason they have been the most sanctioned company in the world by the US Gov. The US government blocked Intel chip sales to China for years and China went on to develop its own core capacity. Intel was virtually destroyed by politicians. The idea that INTEL will suddenly be relevant in the global chip market is a pipe dream. Asia is removing US made components, not embracing them. Apple makes there own processors to avoid US sanctions in Asia. It seems so simple that disrupting the division of labor has only caused chip shortages and increased costs. Further, China is moving along the supply chain and developing capacity and their own substrates. This scares the US suppliers because they know this is not rocket science and China has the capability to become self-sufficient.

    For example if you raised cattle and bought your fence from the USA but the US wanted to harm your cattle industry so they sanctioned wire fence, what would happen? The rancher would buy fence elsewhere or make their own. Eventually China will go after the global fence market with highly competitive products. The US would have to apply more protectionism to their fence and the US beef producers would have to raise prices and could not export their products. Protectionism always fails. Always.

    Another issue with fab. IBM is using Samsung FAB. What prevents Samsung from letting IBM develop their servers and then developing their own. Intel has the same problem. Is Qualcomm going to use an Intel Fab plant or Samsung? No. TSMC is successful because it only does FAB. However US sanctions will ultimately destroy TSMC because of Trump stirring global paranoia. Chips will also become more expensive and no Asian vendor is going to want to be negotiating with US politicians to use US chips in their consumer products.

    Even INTEL is embarking on RISC-V, to avoid US sanctions. Can you imagine? I like the idea but it is a little late to the dance since China has a number of RISC-V chipmakers.

    But the cat is out of the bag on all this now. Rivers of paranoia are flowing and that means continued chip shortages and a shakeout of chipmakers. Look at Japan and Korea. Since the US push is on for fab independence, Japan and Korea feel left out of the equation. They will more closer to greater Asia and China and away from US dependence.

    At present ASLM and TSCM are holding the chipmaking world hostage. So in the long run it is in the best interest to develop competing technologies and fab works to break this dependence and the US hegemony. That is exactly what is going to happen over the next several years. China will throw the most money at this and has the big sway as the largest buyer of chips. In the long run, Intel can make the smallest baddest chip in the world, but if they can’t sell it in Asia, they have NO MARKET and their chip has no purpose. TSMC will also avoid making chips from any company that has a proposed fab operation. Business is robust and they don’t need to cultivate any competitors.

    Astonishing how US politicians can so wreck a smooth flowing semiconductor industry that has thrived on division of labor and cooperation. The US is going to lose 20% of the global semiconductor market over the next three years.

Leave a Reply

Your email address will not be published.


*


This site uses Akismet to reduce spam. Learn how your comment data is processed.